clang
20.0.0git
Toggle main menu visibility
Main Page
Related Pages
Modules
Namespaces
Namespace List
Namespace Members
All
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
z
Functions
_
a
b
c
d
e
f
g
h
i
j
l
m
n
o
p
q
r
s
t
u
v
w
x
z
Variables
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
z
Typedefs
a
b
c
d
e
f
g
h
i
k
l
m
n
o
p
q
r
s
t
u
v
w
x
z
Enumerations
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
r
s
t
u
v
w
x
Enumerator
a
b
c
d
e
f
g
h
i
k
l
m
n
o
p
q
r
s
t
u
v
w
x
Classes
Class List
Class Index
Class Hierarchy
Class Members
All
:
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
y
z
~
Functions
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
z
~
Variables
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
y
z
Typedefs
a
b
c
d
e
f
g
h
i
k
l
m
n
o
p
q
r
s
t
u
v
w
Enumerations
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
z
Enumerator
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
y
z
Related Functions
:
a
b
c
d
e
f
g
h
i
j
l
m
n
o
p
q
r
s
t
u
v
w
z
Files
File List
File Members
All
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
q
r
s
t
u
v
w
x
y
Functions
_
a
b
c
d
e
f
g
h
i
j
l
m
n
o
p
r
s
t
u
v
w
x
y
Variables
_
a
b
c
d
e
f
g
h
i
j
k
l
m
n
o
p
r
s
t
u
v
w
x
Typedefs
_
a
b
c
d
e
f
g
h
i
k
l
m
o
p
q
r
s
t
u
v
w
Enumerations
_
a
b
c
f
g
i
l
m
n
o
p
r
s
t
v
Enumerator
_
a
b
c
d
e
f
h
i
k
l
m
n
o
p
r
s
t
u
v
w
Macros
_
a
b
c
d
e
f
g
h
i
k
l
m
n
o
p
q
r
s
t
u
v
w
x
Examples
- k -
K :
clang::ConstructionContext
,
clang::ento::BugReport
KeepEmptyLines :
clang::format::FormatStyle
KeepFormFeed :
clang::format::FormatStyle
KeepSystemIncludes :
clang::PreprocessorOutputOptions
Kept :
clang::FunctionEffectSet::Conflict
KernelHandle :
clang::CodeGen::CGOpenCLRuntime::EnqueuedBlockInfo
Key :
clang::HMapBucket
,
clang::ObjCDictionaryElement
,
clang::ObjCDictionaryLiteral_KeyValuePair
KeyRoots :
clang::diff::Subtree
Keyword :
clang::CodeCompletionResult
KeywordLoc :
clang::AvailabilityChange
kind :
clang::analyze_format_string::ConversionSpecifier
,
clang::api_notes::Context
Kind :
clang::arcmt::trans::MigrationContext::GCAttrOccurrence
,
clang::ast_matchers::dynamic::Parser::TokenInfo
,
clang::ASTUnit::CachedCodeCompletionResult
,
clang::BadConversionSequence
,
clang::CodeCompletionResult
,
clang::CodeCompletionString::Chunk
,
clang::CodeGen::CodeGenFunction::LifetimeExtendedCleanupHeader
,
clang::CodeGen::TBAAAccessInfo
,
clang::CodeGenOptions::OptRemark
,
clang::comments::DeclInfo
,
clang::ComparisonCategoryInfo
,
clang::ComparisonCategoryInfo::ValueInfo
,
clang::ConversionFixItGenerator
,
clang::DeclaratorChunk
,
clang::dependency_directives_scan::Directive
,
clang::dependency_directives_scan::Token
,
clang::DirectoryWatcher::Event
,
clang::edit::Commit::Edit
,
clang::ento::bugreporter::TrackingOptions
,
clang::ento::SVal
,
clang::extractapi::DeclarationFragments::Fragment
,
clang::FoldExpandedConstraint
,
clang::format::FormatStyle::TrailingCommentsAlignmentStyle
,
clang::index::SymbolInfo
,
clang::InitializationSequence::Step
,
clang::installapi::DylibVerifier::SymbolContext
,
clang::interp::InitLink
,
clang::LambdaIntroducer::LambdaCapture
,
clang::MMToken
,
clang::Module
,
clang::Module::UnresolvedHeaderDirective
,
clang::OMPTraitProperty
,
clang::OMPTraitSelector
,
clang::OMPTraitSet
,
clang::PragmaIntroducer
,
clang::Sema::CodeSynthesisContext
,
clang::sema::DelayedDiagnostic
,
clang::sema::FunctionScopeInfo
,
clang::SemaCUDA::CUDATargetContext
,
clang::SemaOpenACC::LoopWithoutSeqCheckingInfo
,
clang::SemaOpenMP::DeclareTargetContextInfo
,
clang::serialization::ModuleFile
,
clang::SubobjectAdjustment
,
clang::TemplateIdAnnotation
,
clang::transformer::ASTEdit
,
clang::transformer::Edit
,
clang::UncommonTemplateNameStorage::BitsTag
kind :
CXCursor
,
CXIdxAttrInfo
,
CXIdxEntityInfo
,
CXIdxEntityRefInfo
,
CXIdxObjCContainerDeclInfo
,
CXTUResourceUsageEntry
,
CXType
Kind :
SparcCPUInfo
KindCount :
clang::FunctionEffect
KindForDisplay :
clang::extractapi::APIRecord
kInvalidIndex :
clang::format::UnwrappedLine
Kmpc_MicroTy :
clang::CodeGen::CGOpenMPRuntime
KmpCriticalNameTy :
clang::CodeGen::CGOpenMPRuntime
KmpDependInfoTy :
clang::CodeGen::CGOpenMPRuntime
KmpDimTy :
clang::CodeGen::CGOpenMPRuntime
KmpRoutineEntryPtrQTy :
clang::CodeGen::CGOpenMPRuntime
KmpRoutineEntryPtrTy :
clang::CodeGen::CGOpenMPRuntime
KmpTaskAffinityInfoTy :
clang::CodeGen::CGOpenMPRuntime
KmpTaskTQTy :
clang::CodeGen::CGOpenMPRuntime
KmpTaskTQTyRD :
clang::CodeGen::CGOpenMPRuntime::TaskResultTy
kw___except :
clang::format::AdditionalKeywords
kw___has_include :
clang::format::AdditionalKeywords
kw___has_include_next :
clang::format::AdditionalKeywords
kw_abstract :
clang::format::AdditionalKeywords
kw_always :
clang::format::AdditionalKeywords
kw_always_comb :
clang::format::AdditionalKeywords
kw_always_ff :
clang::format::AdditionalKeywords
kw_always_latch :
clang::format::AdditionalKeywords
kw_apostrophe :
clang::format::AdditionalKeywords
kw_as :
clang::format::AdditionalKeywords
kw_assert :
clang::format::AdditionalKeywords
kw_assign :
clang::format::AdditionalKeywords
kw_assume :
clang::format::AdditionalKeywords
kw_async :
clang::format::AdditionalKeywords
kw_automatic :
clang::format::AdditionalKeywords
kw_await :
clang::format::AdditionalKeywords
kw_base :
clang::format::AdditionalKeywords
kw_before :
clang::format::AdditionalKeywords
kw_begin :
clang::format::AdditionalKeywords
kw_begin_keywords :
clang::format::AdditionalKeywords
kw_bins :
clang::format::AdditionalKeywords
kw_binsof :
clang::format::AdditionalKeywords
kw_bit :
clang::format::AdditionalKeywords
kw_bits :
clang::format::AdditionalKeywords
kw_byte :
clang::format::AdditionalKeywords
kw_casex :
clang::format::AdditionalKeywords
kw_casez :
clang::format::AdditionalKeywords
kw_celldefine :
clang::format::AdditionalKeywords
kw_CF_CLOSED_ENUM :
clang::format::AdditionalKeywords
kw_CF_ENUM :
clang::format::AdditionalKeywords
kw_CF_OPTIONS :
clang::format::AdditionalKeywords
kw_checked :
clang::format::AdditionalKeywords
kw_checker :
clang::format::AdditionalKeywords
kw_clocking :
clang::format::AdditionalKeywords
kw_code :
clang::format::AdditionalKeywords
kw_constraint :
clang::format::AdditionalKeywords
kw_cover :
clang::format::AdditionalKeywords
kw_covergroup :
clang::format::AdditionalKeywords
kw_coverpoint :
clang::format::AdditionalKeywords
kw_dag :
clang::format::AdditionalKeywords
kw_decimal :
clang::format::AdditionalKeywords
kw_declare :
clang::format::AdditionalKeywords
kw_def :
clang::format::AdditionalKeywords
kw_default_decay_time :
clang::format::AdditionalKeywords
kw_default_nettype :
clang::format::AdditionalKeywords
kw_default_trireg_strength :
clang::format::AdditionalKeywords
kw_defm :
clang::format::AdditionalKeywords
kw_defset :
clang::format::AdditionalKeywords
kw_defvar :
clang::format::AdditionalKeywords
kw_delay_mode_distributed :
clang::format::AdditionalKeywords
kw_delay_mode_path :
clang::format::AdditionalKeywords
kw_delay_mode_unit :
clang::format::AdditionalKeywords
kw_delay_mode_zero :
clang::format::AdditionalKeywords
kw_delegate :
clang::format::AdditionalKeywords
kw_disable :
clang::format::AdditionalKeywords
kw_dist :
clang::format::AdditionalKeywords
kw_dollar :
clang::format::AdditionalKeywords
kw_dump :
clang::format::AdditionalKeywords
kw_edge :
clang::format::AdditionalKeywords
kw_elsif :
clang::format::AdditionalKeywords
kw_end :
clang::format::AdditionalKeywords
kw_end_keywords :
clang::format::AdditionalKeywords
kw_endcase :
clang::format::AdditionalKeywords
kw_endcelldefine :
clang::format::AdditionalKeywords
kw_endchecker :
clang::format::AdditionalKeywords
kw_endclass :
clang::format::AdditionalKeywords
kw_endclocking :
clang::format::AdditionalKeywords
kw_endfunction :
clang::format::AdditionalKeywords
kw_endgenerate :
clang::format::AdditionalKeywords
kw_endgroup :
clang::format::AdditionalKeywords
kw_endinterface :
clang::format::AdditionalKeywords
kw_endmodule :
clang::format::AdditionalKeywords
kw_endpackage :
clang::format::AdditionalKeywords
kw_endprimitive :
clang::format::AdditionalKeywords
kw_endprogram :
clang::format::AdditionalKeywords
kw_endproperty :
clang::format::AdditionalKeywords
kw_endsequence :
clang::format::AdditionalKeywords
kw_endspecify :
clang::format::AdditionalKeywords
kw_endtable :
clang::format::AdditionalKeywords
kw_endtask :
clang::format::AdditionalKeywords
kw_event :
clang::format::AdditionalKeywords
kw_extend :
clang::format::AdditionalKeywords
kw_extends :
clang::format::AdditionalKeywords
kw_final :
clang::format::AdditionalKeywords
kw_finally :
clang::format::AdditionalKeywords
kw_fixed :
clang::format::AdditionalKeywords
kw_foreach :
clang::format::AdditionalKeywords
kw_forever :
clang::format::AdditionalKeywords
kw_fork :
clang::format::AdditionalKeywords
kw_from :
clang::format::AdditionalKeywords
kw_function :
clang::format::AdditionalKeywords
kw_generate :
clang::format::AdditionalKeywords
kw_get :
clang::format::AdditionalKeywords
kw_highz0 :
clang::format::AdditionalKeywords
kw_highz1 :
clang::format::AdditionalKeywords
kw_iff :
clang::format::AdditionalKeywords
kw_ifnone :
clang::format::AdditionalKeywords
kw_ignore_bins :
clang::format::AdditionalKeywords
kw_illegal_bins :
clang::format::AdditionalKeywords
kw_implements :
clang::format::AdditionalKeywords
kw_implicit :
clang::format::AdditionalKeywords
kw_import :
clang::format::AdditionalKeywords
kw_in :
clang::format::AdditionalKeywords
kw_include :
clang::format::AdditionalKeywords
kw_infer :
clang::format::AdditionalKeywords
kw_init :
clang::format::AdditionalKeywords
kw_initial :
clang::format::AdditionalKeywords
kw_inout :
clang::format::AdditionalKeywords
kw_input :
clang::format::AdditionalKeywords
kw_inside :
clang::format::AdditionalKeywords
kw_instanceof :
clang::format::AdditionalKeywords
kw_interconnect :
clang::format::AdditionalKeywords
kw_interface :
clang::format::AdditionalKeywords
kw_internal :
clang::format::AdditionalKeywords
kw_internal_ident_after_define :
clang::format::AdditionalKeywords
kw_intersect :
clang::format::AdditionalKeywords
kw_is :
clang::format::AdditionalKeywords
kw_join :
clang::format::AdditionalKeywords
kw_join_any :
clang::format::AdditionalKeywords
kw_join_none :
clang::format::AdditionalKeywords
kw_large :
clang::format::AdditionalKeywords
kw_let :
clang::format::AdditionalKeywords
kw_list :
clang::format::AdditionalKeywords
kw_local :
clang::format::AdditionalKeywords
kw_localparam :
clang::format::AdditionalKeywords
kw_lock :
clang::format::AdditionalKeywords
kw_macromodule :
clang::format::AdditionalKeywords
kw_mark :
clang::format::AdditionalKeywords
kw_matches :
clang::format::AdditionalKeywords
kw_medium :
clang::format::AdditionalKeywords
kw_module :
clang::format::AdditionalKeywords
kw_multiclass :
clang::format::AdditionalKeywords
kw_native :
clang::format::AdditionalKeywords
kw_negedge :
clang::format::AdditionalKeywords
kw_nounconnected_drive :
clang::format::AdditionalKeywords
kw_NS_CLOSED_ENUM :
clang::format::AdditionalKeywords
kw_NS_ENUM :
clang::format::AdditionalKeywords
kw_NS_ERROR_ENUM :
clang::format::AdditionalKeywords
kw_NS_OPTIONS :
clang::format::AdditionalKeywords
kw_null :
clang::format::AdditionalKeywords
kw_object :
clang::format::AdditionalKeywords
kw_of :
clang::format::AdditionalKeywords
kw_option :
clang::format::AdditionalKeywords
kw_optional :
clang::format::AdditionalKeywords
kw_out :
clang::format::AdditionalKeywords
kw_output :
clang::format::AdditionalKeywords
kw_override :
clang::format::AdditionalKeywords
kw_package :
clang::format::AdditionalKeywords
kw_packed :
clang::format::AdditionalKeywords
kw_parameter :
clang::format::AdditionalKeywords
kw_params :
clang::format::AdditionalKeywords
kw_posedge :
clang::format::AdditionalKeywords
kw_primitive :
clang::format::AdditionalKeywords
kw_priority :
clang::format::AdditionalKeywords
kw_program :
clang::format::AdditionalKeywords
kw_property :
clang::format::AdditionalKeywords
kw_pull0 :
clang::format::AdditionalKeywords
kw_pull1 :
clang::format::AdditionalKeywords
kw_pure :
clang::format::AdditionalKeywords
kw_qsignals :
clang::format::AdditionalKeywords
kw_qslots :
clang::format::AdditionalKeywords
kw_rand :
clang::format::AdditionalKeywords
kw_randc :
clang::format::AdditionalKeywords
kw_randcase :
clang::format::AdditionalKeywords
kw_randsequence :
clang::format::AdditionalKeywords
kw_readonly :
clang::format::AdditionalKeywords
kw_ref :
clang::format::AdditionalKeywords
kw_region :
clang::format::AdditionalKeywords
kw_repeat :
clang::format::AdditionalKeywords
kw_repeated :
clang::format::AdditionalKeywords
kw_required :
clang::format::AdditionalKeywords
kw_resetall :
clang::format::AdditionalKeywords
kw_returns :
clang::format::AdditionalKeywords
kw_sample :
clang::format::AdditionalKeywords
kw_sbyte :
clang::format::AdditionalKeywords
kw_scalared :
clang::format::AdditionalKeywords
kw_sealed :
clang::format::AdditionalKeywords
kw_sequence :
clang::format::AdditionalKeywords
kw_set :
clang::format::AdditionalKeywords
kw_signals :
clang::format::AdditionalKeywords
kw_slots :
clang::format::AdditionalKeywords
kw_small :
clang::format::AdditionalKeywords
kw_soft :
clang::format::AdditionalKeywords
kw_solve :
clang::format::AdditionalKeywords
kw_specify :
clang::format::AdditionalKeywords
kw_specparam :
clang::format::AdditionalKeywords
kw_stackalloc :
clang::format::AdditionalKeywords
kw_string :
clang::format::AdditionalKeywords
kw_strong0 :
clang::format::AdditionalKeywords
kw_strong1 :
clang::format::AdditionalKeywords
kw_supply0 :
clang::format::AdditionalKeywords
kw_supply1 :
clang::format::AdditionalKeywords
kw_synchronized :
clang::format::AdditionalKeywords
kw_table :
clang::format::AdditionalKeywords
kw_tagged :
clang::format::AdditionalKeywords
kw_task :
clang::format::AdditionalKeywords
kw_then :
clang::format::AdditionalKeywords
kw_throws :
clang::format::AdditionalKeywords
kw_timescale :
clang::format::AdditionalKeywords
kw_tri :
clang::format::AdditionalKeywords
kw_tri0 :
clang::format::AdditionalKeywords
kw_tri1 :
clang::format::AdditionalKeywords
kw_triand :
clang::format::AdditionalKeywords
kw_trior :
clang::format::AdditionalKeywords
kw_trireg :
clang::format::AdditionalKeywords
kw_type :
clang::format::AdditionalKeywords
kw_typeof :
clang::format::AdditionalKeywords
kw_uint :
clang::format::AdditionalKeywords
kw_ulong :
clang::format::AdditionalKeywords
kw_unchecked :
clang::format::AdditionalKeywords
kw_unconnected_drive :
clang::format::AdditionalKeywords
kw_undefineall :
clang::format::AdditionalKeywords
kw_unique :
clang::format::AdditionalKeywords
kw_unique0 :
clang::format::AdditionalKeywords
kw_unsafe :
clang::format::AdditionalKeywords
kw_ushort :
clang::format::AdditionalKeywords
kw_uwire :
clang::format::AdditionalKeywords
kw_var :
clang::format::AdditionalKeywords
kw_vectored :
clang::format::AdditionalKeywords
kw_verilogHash :
clang::format::AdditionalKeywords
kw_verilogHashHash :
clang::format::AdditionalKeywords
kw_wand :
clang::format::AdditionalKeywords
kw_weak0 :
clang::format::AdditionalKeywords
kw_weak1 :
clang::format::AdditionalKeywords
kw_when :
clang::format::AdditionalKeywords
kw_where :
clang::format::AdditionalKeywords
kw_wildcard :
clang::format::AdditionalKeywords
kw_wire :
clang::format::AdditionalKeywords
kw_with :
clang::format::AdditionalKeywords
kw_wor :
clang::format::AdditionalKeywords
kw_yield :
clang::format::AdditionalKeywords
KWLoc :
clang::AtomicTypeLocInfo
,
clang::PipeTypeLocInfo
,
clang::UnaryTransformTypeLocInfo
Generated on Sat Mar 29 2025 05:20:48 for clang by
1.9.6