clang 19.0.0git
Public Member Functions | Public Attributes | List of all members
clang::format::AdditionalKeywords Struct Reference

Encapsulates keywords that are context sensitive or for languages not properly supported by Clang's lexer. More...

#include "/home/buildbot/as-worker-4/publish-doxygen-docs/llvm-project/clang/lib/Format/FormatToken.h"

Public Member Functions

 AdditionalKeywords (IdentifierTable &IdentTable)
 
bool isWordLike (const FormatToken &Tok) const
 Returns true if Tok is a keyword or an identifier.
 
bool IsJavaScriptIdentifier (const FormatToken &Tok, bool AcceptIdentifierName=true) const
 Returns true if Tok is a true JavaScript identifier, returns false if it is a keyword or a pseudo keyword.
 
bool isCSharpKeyword (const FormatToken &Tok) const
 Returns true if Tok is a C# keyword, returns false if it is a anything else.
 
bool isVerilogWordOperator (const FormatToken &Tok) const
 
bool isVerilogIdentifier (const FormatToken &Tok) const
 
bool isVerilogPPDirective (const FormatToken &Tok) const
 Returns whether Tok is a Verilog preprocessor directive.
 
bool isVerilogBegin (const FormatToken &Tok) const
 Returns whether Tok is a Verilog keyword that opens a block.
 
bool isVerilogEnd (const FormatToken &Tok) const
 Returns whether Tok is a Verilog keyword that closes a block.
 
bool isVerilogHierarchy (const FormatToken &Tok) const
 Returns whether Tok is a Verilog keyword that opens a module, etc.
 
bool isVerilogEndOfLabel (const FormatToken &Tok) const
 
bool isVerilogStructuredProcedure (const FormatToken &Tok) const
 Returns whether Tok is a Verilog keyword that starts a structured procedure like 'always'.
 
bool isVerilogQualifier (const FormatToken &Tok) const
 
bool isTableGenDefinition (const FormatToken &Tok) const
 
bool isTableGenKeyword (const FormatToken &Tok) const
 

Public Attributes

IdentifierInfokw_final
 
IdentifierInfokw_override
 
IdentifierInfokw_in
 
IdentifierInfokw_of
 
IdentifierInfokw_CF_CLOSED_ENUM
 
IdentifierInfokw_CF_ENUM
 
IdentifierInfokw_CF_OPTIONS
 
IdentifierInfokw_NS_CLOSED_ENUM
 
IdentifierInfokw_NS_ENUM
 
IdentifierInfokw_NS_ERROR_ENUM
 
IdentifierInfokw_NS_OPTIONS
 
IdentifierInfokw___except
 
IdentifierInfokw___has_include
 
IdentifierInfokw___has_include_next
 
IdentifierInfokw_as
 
IdentifierInfokw_async
 
IdentifierInfokw_await
 
IdentifierInfokw_declare
 
IdentifierInfokw_finally
 
IdentifierInfokw_from
 
IdentifierInfokw_function
 
IdentifierInfokw_get
 
IdentifierInfokw_import
 
IdentifierInfokw_infer
 
IdentifierInfokw_is
 
IdentifierInfokw_let
 
IdentifierInfokw_module
 
IdentifierInfokw_readonly
 
IdentifierInfokw_set
 
IdentifierInfokw_type
 
IdentifierInfokw_typeof
 
IdentifierInfokw_var
 
IdentifierInfokw_yield
 
IdentifierInfokw_abstract
 
IdentifierInfokw_assert
 
IdentifierInfokw_extends
 
IdentifierInfokw_implements
 
IdentifierInfokw_instanceof
 
IdentifierInfokw_interface
 
IdentifierInfokw_native
 
IdentifierInfokw_package
 
IdentifierInfokw_synchronized
 
IdentifierInfokw_throws
 
IdentifierInfokw_mark
 
IdentifierInfokw_region
 
IdentifierInfokw_extend
 
IdentifierInfokw_option
 
IdentifierInfokw_optional
 
IdentifierInfokw_repeated
 
IdentifierInfokw_required
 
IdentifierInfokw_returns
 
IdentifierInfokw_signals
 
IdentifierInfokw_qsignals
 
IdentifierInfokw_slots
 
IdentifierInfokw_qslots
 
IdentifierInfokw_internal_ident_after_define
 
IdentifierInfokw_dollar
 
IdentifierInfokw_base
 
IdentifierInfokw_byte
 
IdentifierInfokw_checked
 
IdentifierInfokw_decimal
 
IdentifierInfokw_delegate
 
IdentifierInfokw_event
 
IdentifierInfokw_fixed
 
IdentifierInfokw_foreach
 
IdentifierInfokw_implicit
 
IdentifierInfokw_init
 
IdentifierInfokw_internal
 
IdentifierInfokw_lock
 
IdentifierInfokw_null
 
IdentifierInfokw_object
 
IdentifierInfokw_out
 
IdentifierInfokw_params
 
IdentifierInfokw_ref
 
IdentifierInfokw_string
 
IdentifierInfokw_stackalloc
 
IdentifierInfokw_sbyte
 
IdentifierInfokw_sealed
 
IdentifierInfokw_uint
 
IdentifierInfokw_ulong
 
IdentifierInfokw_unchecked
 
IdentifierInfokw_unsafe
 
IdentifierInfokw_ushort
 
IdentifierInfokw_when
 
IdentifierInfokw_where
 
IdentifierInfokw_always
 
IdentifierInfokw_always_comb
 
IdentifierInfokw_always_ff
 
IdentifierInfokw_always_latch
 
IdentifierInfokw_assign
 
IdentifierInfokw_assume
 
IdentifierInfokw_automatic
 
IdentifierInfokw_before
 
IdentifierInfokw_begin
 
IdentifierInfokw_begin_keywords
 
IdentifierInfokw_bins
 
IdentifierInfokw_binsof
 
IdentifierInfokw_casex
 
IdentifierInfokw_casez
 
IdentifierInfokw_celldefine
 
IdentifierInfokw_checker
 
IdentifierInfokw_clocking
 
IdentifierInfokw_constraint
 
IdentifierInfokw_cover
 
IdentifierInfokw_covergroup
 
IdentifierInfokw_coverpoint
 
IdentifierInfokw_default_decay_time
 
IdentifierInfokw_default_nettype
 
IdentifierInfokw_default_trireg_strength
 
IdentifierInfokw_delay_mode_distributed
 
IdentifierInfokw_delay_mode_path
 
IdentifierInfokw_delay_mode_unit
 
IdentifierInfokw_delay_mode_zero
 
IdentifierInfokw_disable
 
IdentifierInfokw_dist
 
IdentifierInfokw_elsif
 
IdentifierInfokw_edge
 
IdentifierInfokw_end
 
IdentifierInfokw_end_keywords
 
IdentifierInfokw_endcase
 
IdentifierInfokw_endcelldefine
 
IdentifierInfokw_endchecker
 
IdentifierInfokw_endclass
 
IdentifierInfokw_endclocking
 
IdentifierInfokw_endfunction
 
IdentifierInfokw_endgenerate
 
IdentifierInfokw_endgroup
 
IdentifierInfokw_endinterface
 
IdentifierInfokw_endmodule
 
IdentifierInfokw_endpackage
 
IdentifierInfokw_endprimitive
 
IdentifierInfokw_endprogram
 
IdentifierInfokw_endproperty
 
IdentifierInfokw_endsequence
 
IdentifierInfokw_endspecify
 
IdentifierInfokw_endtable
 
IdentifierInfokw_endtask
 
IdentifierInfokw_forever
 
IdentifierInfokw_fork
 
IdentifierInfokw_generate
 
IdentifierInfokw_highz0
 
IdentifierInfokw_highz1
 
IdentifierInfokw_iff
 
IdentifierInfokw_ifnone
 
IdentifierInfokw_ignore_bins
 
IdentifierInfokw_illegal_bins
 
IdentifierInfokw_initial
 
IdentifierInfokw_inout
 
IdentifierInfokw_input
 
IdentifierInfokw_inside
 
IdentifierInfokw_interconnect
 
IdentifierInfokw_intersect
 
IdentifierInfokw_join
 
IdentifierInfokw_join_any
 
IdentifierInfokw_join_none
 
IdentifierInfokw_large
 
IdentifierInfokw_local
 
IdentifierInfokw_localparam
 
IdentifierInfokw_macromodule
 
IdentifierInfokw_matches
 
IdentifierInfokw_medium
 
IdentifierInfokw_negedge
 
IdentifierInfokw_nounconnected_drive
 
IdentifierInfokw_output
 
IdentifierInfokw_packed
 
IdentifierInfokw_parameter
 
IdentifierInfokw_posedge
 
IdentifierInfokw_primitive
 
IdentifierInfokw_priority
 
IdentifierInfokw_program
 
IdentifierInfokw_property
 
IdentifierInfokw_pull0
 
IdentifierInfokw_pull1
 
IdentifierInfokw_pure
 
IdentifierInfokw_rand
 
IdentifierInfokw_randc
 
IdentifierInfokw_randcase
 
IdentifierInfokw_randsequence
 
IdentifierInfokw_repeat
 
IdentifierInfokw_resetall
 
IdentifierInfokw_sample
 
IdentifierInfokw_scalared
 
IdentifierInfokw_sequence
 
IdentifierInfokw_small
 
IdentifierInfokw_soft
 
IdentifierInfokw_solve
 
IdentifierInfokw_specify
 
IdentifierInfokw_specparam
 
IdentifierInfokw_strong0
 
IdentifierInfokw_strong1
 
IdentifierInfokw_supply0
 
IdentifierInfokw_supply1
 
IdentifierInfokw_table
 
IdentifierInfokw_tagged
 
IdentifierInfokw_task
 
IdentifierInfokw_timescale
 
IdentifierInfokw_tri0
 
IdentifierInfokw_tri1
 
IdentifierInfokw_tri
 
IdentifierInfokw_triand
 
IdentifierInfokw_trior
 
IdentifierInfokw_trireg
 
IdentifierInfokw_unconnected_drive
 
IdentifierInfokw_undefineall
 
IdentifierInfokw_unique
 
IdentifierInfokw_unique0
 
IdentifierInfokw_uwire
 
IdentifierInfokw_vectored
 
IdentifierInfokw_wand
 
IdentifierInfokw_weak0
 
IdentifierInfokw_weak1
 
IdentifierInfokw_wildcard
 
IdentifierInfokw_wire
 
IdentifierInfokw_with
 
IdentifierInfokw_wor
 
IdentifierInfokw_verilogHash
 
IdentifierInfokw_verilogHashHash
 
IdentifierInfokw_apostrophe
 
IdentifierInfokw_bit
 
IdentifierInfokw_bits
 
IdentifierInfokw_code
 
IdentifierInfokw_dag
 
IdentifierInfokw_def
 
IdentifierInfokw_defm
 
IdentifierInfokw_defset
 
IdentifierInfokw_defvar
 
IdentifierInfokw_dump
 
IdentifierInfokw_include
 
IdentifierInfokw_list
 
IdentifierInfokw_multiclass
 
IdentifierInfokw_then
 

Detailed Description

Encapsulates keywords that are context sensitive or for languages not properly supported by Clang's lexer.

Definition at line 996 of file FormatToken.h.

Constructor & Destructor Documentation

◆ AdditionalKeywords()

clang::format::AdditionalKeywords::AdditionalKeywords ( IdentifierTable IdentTable)
inline

Definition at line 997 of file FormatToken.h.

Member Function Documentation

◆ isCSharpKeyword()

bool clang::format::AdditionalKeywords::isCSharpKeyword ( const FormatToken Tok) const
inline

Returns true if Tok is a C# keyword, returns false if it is a anything else.

Definition at line 1698 of file FormatToken.h.

◆ IsJavaScriptIdentifier()

bool clang::format::AdditionalKeywords::IsJavaScriptIdentifier ( const FormatToken Tok,
bool  AcceptIdentifierName = true 
) const
inline

Returns true if Tok is a true JavaScript identifier, returns false if it is a keyword or a pseudo keyword.

If AcceptIdentifierName is true, returns true not only for keywords,

Definition at line 1631 of file FormatToken.h.

References clang::Token::getIdentifierInfo(), clang::Token::getKind(), and clang::format::FormatToken::Tok.

◆ isTableGenDefinition()

bool clang::format::AdditionalKeywords::isTableGenDefinition ( const FormatToken Tok) const
inline

Definition at line 1891 of file FormatToken.h.

◆ isTableGenKeyword()

bool clang::format::AdditionalKeywords::isTableGenKeyword ( const FormatToken Tok) const
inline

◆ isVerilogBegin()

bool clang::format::AdditionalKeywords::isVerilogBegin ( const FormatToken Tok) const
inline

Returns whether Tok is a Verilog keyword that opens a block.

Definition at line 1820 of file FormatToken.h.

◆ isVerilogEnd()

bool clang::format::AdditionalKeywords::isVerilogEnd ( const FormatToken Tok) const
inline

Returns whether Tok is a Verilog keyword that closes a block.

Definition at line 1827 of file FormatToken.h.

◆ isVerilogEndOfLabel()

bool clang::format::AdditionalKeywords::isVerilogEndOfLabel ( const FormatToken Tok) const
inline

Definition at line 1854 of file FormatToken.h.

◆ isVerilogHierarchy()

bool clang::format::AdditionalKeywords::isVerilogHierarchy ( const FormatToken Tok) const
inline

Returns whether Tok is a Verilog keyword that opens a module, etc.

Definition at line 1839 of file FormatToken.h.

◆ isVerilogIdentifier()

bool clang::format::AdditionalKeywords::isVerilogIdentifier ( const FormatToken Tok) const
inline

◆ isVerilogPPDirective()

bool clang::format::AdditionalKeywords::isVerilogPPDirective ( const FormatToken Tok) const
inline

Returns whether Tok is a Verilog preprocessor directive.

This is needed because macro expansions start with a backtick as well and they need to be treated differently.

Definition at line 1793 of file FormatToken.h.

◆ isVerilogQualifier()

bool clang::format::AdditionalKeywords::isVerilogQualifier ( const FormatToken Tok) const
inline

◆ isVerilogStructuredProcedure()

bool clang::format::AdditionalKeywords::isVerilogStructuredProcedure ( const FormatToken Tok) const
inline

Returns whether Tok is a Verilog keyword that starts a structured procedure like 'always'.

Definition at line 1865 of file FormatToken.h.

◆ isVerilogWordOperator()

bool clang::format::AdditionalKeywords::isVerilogWordOperator ( const FormatToken Tok) const
inline

Definition at line 1752 of file FormatToken.h.

◆ isWordLike()

bool clang::format::AdditionalKeywords::isWordLike ( const FormatToken Tok) const
inline

Returns true if Tok is a keyword or an identifier.

Definition at line 1620 of file FormatToken.h.

References clang::Token::getIdentifierInfo(), clang::format::FormatToken::isOneOf(), kw_apostrophe, kw_verilogHash, kw_verilogHashHash, and clang::format::FormatToken::Tok.

Member Data Documentation

◆ kw___except

IdentifierInfo* clang::format::AdditionalKeywords::kw___except

Definition at line 1373 of file FormatToken.h.

◆ kw___has_include

IdentifierInfo* clang::format::AdditionalKeywords::kw___has_include

Definition at line 1374 of file FormatToken.h.

◆ kw___has_include_next

IdentifierInfo* clang::format::AdditionalKeywords::kw___has_include_next

Definition at line 1375 of file FormatToken.h.

◆ kw_abstract

IdentifierInfo* clang::format::AdditionalKeywords::kw_abstract

Definition at line 1399 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_always

IdentifierInfo* clang::format::AdditionalKeywords::kw_always

Definition at line 1466 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_always_comb

IdentifierInfo* clang::format::AdditionalKeywords::kw_always_comb

Definition at line 1467 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_always_ff

IdentifierInfo* clang::format::AdditionalKeywords::kw_always_ff

Definition at line 1468 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_always_latch

IdentifierInfo* clang::format::AdditionalKeywords::kw_always_latch

Definition at line 1469 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_apostrophe

IdentifierInfo* clang::format::AdditionalKeywords::kw_apostrophe

Definition at line 1602 of file FormatToken.h.

Referenced by isWordLike().

◆ kw_as

IdentifierInfo* clang::format::AdditionalKeywords::kw_as

Definition at line 1378 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_assert

IdentifierInfo* clang::format::AdditionalKeywords::kw_assert

Definition at line 1400 of file FormatToken.h.

◆ kw_assign

IdentifierInfo* clang::format::AdditionalKeywords::kw_assign

Definition at line 1470 of file FormatToken.h.

◆ kw_assume

IdentifierInfo* clang::format::AdditionalKeywords::kw_assume

Definition at line 1471 of file FormatToken.h.

◆ kw_async

IdentifierInfo* clang::format::AdditionalKeywords::kw_async

Definition at line 1379 of file FormatToken.h.

Referenced by clang::format::isJSDeclOrStmt(), and clang::format::mustBeJSIdent().

◆ kw_automatic

IdentifierInfo* clang::format::AdditionalKeywords::kw_automatic

Definition at line 1472 of file FormatToken.h.

◆ kw_await

IdentifierInfo* clang::format::AdditionalKeywords::kw_await

Definition at line 1380 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_base

IdentifierInfo* clang::format::AdditionalKeywords::kw_base

Definition at line 1433 of file FormatToken.h.

◆ kw_before

IdentifierInfo* clang::format::AdditionalKeywords::kw_before

Definition at line 1473 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_begin

IdentifierInfo* clang::format::AdditionalKeywords::kw_begin

Definition at line 1474 of file FormatToken.h.

◆ kw_begin_keywords

IdentifierInfo* clang::format::AdditionalKeywords::kw_begin_keywords

Definition at line 1475 of file FormatToken.h.

◆ kw_bins

IdentifierInfo* clang::format::AdditionalKeywords::kw_bins

Definition at line 1476 of file FormatToken.h.

◆ kw_binsof

IdentifierInfo* clang::format::AdditionalKeywords::kw_binsof

Definition at line 1477 of file FormatToken.h.

◆ kw_bit

IdentifierInfo* clang::format::AdditionalKeywords::kw_bit

Definition at line 1605 of file FormatToken.h.

◆ kw_bits

IdentifierInfo* clang::format::AdditionalKeywords::kw_bits

Definition at line 1606 of file FormatToken.h.

◆ kw_byte

IdentifierInfo* clang::format::AdditionalKeywords::kw_byte

Definition at line 1434 of file FormatToken.h.

◆ kw_casex

IdentifierInfo* clang::format::AdditionalKeywords::kw_casex

Definition at line 1478 of file FormatToken.h.

◆ kw_casez

IdentifierInfo* clang::format::AdditionalKeywords::kw_casez

Definition at line 1479 of file FormatToken.h.

◆ kw_celldefine

IdentifierInfo* clang::format::AdditionalKeywords::kw_celldefine

Definition at line 1480 of file FormatToken.h.

◆ kw_CF_CLOSED_ENUM

IdentifierInfo* clang::format::AdditionalKeywords::kw_CF_CLOSED_ENUM

Definition at line 1366 of file FormatToken.h.

◆ kw_CF_ENUM

IdentifierInfo* clang::format::AdditionalKeywords::kw_CF_ENUM

Definition at line 1367 of file FormatToken.h.

◆ kw_CF_OPTIONS

IdentifierInfo* clang::format::AdditionalKeywords::kw_CF_OPTIONS

Definition at line 1368 of file FormatToken.h.

◆ kw_checked

IdentifierInfo* clang::format::AdditionalKeywords::kw_checked

Definition at line 1435 of file FormatToken.h.

◆ kw_checker

IdentifierInfo* clang::format::AdditionalKeywords::kw_checker

Definition at line 1481 of file FormatToken.h.

◆ kw_clocking

IdentifierInfo* clang::format::AdditionalKeywords::kw_clocking

Definition at line 1482 of file FormatToken.h.

◆ kw_code

IdentifierInfo* clang::format::AdditionalKeywords::kw_code

Definition at line 1607 of file FormatToken.h.

◆ kw_constraint

IdentifierInfo* clang::format::AdditionalKeywords::kw_constraint

Definition at line 1483 of file FormatToken.h.

◆ kw_cover

IdentifierInfo* clang::format::AdditionalKeywords::kw_cover

Definition at line 1484 of file FormatToken.h.

◆ kw_covergroup

IdentifierInfo* clang::format::AdditionalKeywords::kw_covergroup

Definition at line 1485 of file FormatToken.h.

◆ kw_coverpoint

IdentifierInfo* clang::format::AdditionalKeywords::kw_coverpoint

Definition at line 1486 of file FormatToken.h.

◆ kw_dag

IdentifierInfo* clang::format::AdditionalKeywords::kw_dag

Definition at line 1608 of file FormatToken.h.

◆ kw_decimal

IdentifierInfo* clang::format::AdditionalKeywords::kw_decimal

Definition at line 1436 of file FormatToken.h.

◆ kw_declare

IdentifierInfo* clang::format::AdditionalKeywords::kw_declare

Definition at line 1381 of file FormatToken.h.

◆ kw_def

IdentifierInfo* clang::format::AdditionalKeywords::kw_def

Definition at line 1609 of file FormatToken.h.

Referenced by isTableGenKeyword().

◆ kw_default_decay_time

IdentifierInfo* clang::format::AdditionalKeywords::kw_default_decay_time

Definition at line 1487 of file FormatToken.h.

◆ kw_default_nettype

IdentifierInfo* clang::format::AdditionalKeywords::kw_default_nettype

Definition at line 1488 of file FormatToken.h.

◆ kw_default_trireg_strength

IdentifierInfo* clang::format::AdditionalKeywords::kw_default_trireg_strength

Definition at line 1489 of file FormatToken.h.

◆ kw_defm

IdentifierInfo* clang::format::AdditionalKeywords::kw_defm

Definition at line 1610 of file FormatToken.h.

Referenced by isTableGenKeyword().

◆ kw_defset

IdentifierInfo* clang::format::AdditionalKeywords::kw_defset

Definition at line 1611 of file FormatToken.h.

Referenced by isTableGenKeyword().

◆ kw_defvar

IdentifierInfo* clang::format::AdditionalKeywords::kw_defvar

Definition at line 1612 of file FormatToken.h.

Referenced by isTableGenKeyword().

◆ kw_delay_mode_distributed

IdentifierInfo* clang::format::AdditionalKeywords::kw_delay_mode_distributed

Definition at line 1490 of file FormatToken.h.

◆ kw_delay_mode_path

IdentifierInfo* clang::format::AdditionalKeywords::kw_delay_mode_path

Definition at line 1491 of file FormatToken.h.

◆ kw_delay_mode_unit

IdentifierInfo* clang::format::AdditionalKeywords::kw_delay_mode_unit

Definition at line 1492 of file FormatToken.h.

◆ kw_delay_mode_zero

IdentifierInfo* clang::format::AdditionalKeywords::kw_delay_mode_zero

Definition at line 1493 of file FormatToken.h.

◆ kw_delegate

IdentifierInfo* clang::format::AdditionalKeywords::kw_delegate

Definition at line 1437 of file FormatToken.h.

◆ kw_disable

IdentifierInfo* clang::format::AdditionalKeywords::kw_disable

Definition at line 1494 of file FormatToken.h.

◆ kw_dist

IdentifierInfo* clang::format::AdditionalKeywords::kw_dist

Definition at line 1495 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_dollar

IdentifierInfo* clang::format::AdditionalKeywords::kw_dollar

Definition at line 1432 of file FormatToken.h.

Referenced by clang::format::ContinuationIndenter::mustBreak().

◆ kw_dump

IdentifierInfo* clang::format::AdditionalKeywords::kw_dump

Definition at line 1613 of file FormatToken.h.

◆ kw_edge

IdentifierInfo* clang::format::AdditionalKeywords::kw_edge

Definition at line 1497 of file FormatToken.h.

◆ kw_elsif

IdentifierInfo* clang::format::AdditionalKeywords::kw_elsif

Definition at line 1496 of file FormatToken.h.

◆ kw_end

IdentifierInfo* clang::format::AdditionalKeywords::kw_end

Definition at line 1498 of file FormatToken.h.

◆ kw_end_keywords

IdentifierInfo* clang::format::AdditionalKeywords::kw_end_keywords

Definition at line 1499 of file FormatToken.h.

◆ kw_endcase

IdentifierInfo* clang::format::AdditionalKeywords::kw_endcase

Definition at line 1500 of file FormatToken.h.

◆ kw_endcelldefine

IdentifierInfo* clang::format::AdditionalKeywords::kw_endcelldefine

Definition at line 1501 of file FormatToken.h.

◆ kw_endchecker

IdentifierInfo* clang::format::AdditionalKeywords::kw_endchecker

Definition at line 1502 of file FormatToken.h.

◆ kw_endclass

IdentifierInfo* clang::format::AdditionalKeywords::kw_endclass

Definition at line 1503 of file FormatToken.h.

◆ kw_endclocking

IdentifierInfo* clang::format::AdditionalKeywords::kw_endclocking

Definition at line 1504 of file FormatToken.h.

◆ kw_endfunction

IdentifierInfo* clang::format::AdditionalKeywords::kw_endfunction

Definition at line 1505 of file FormatToken.h.

◆ kw_endgenerate

IdentifierInfo* clang::format::AdditionalKeywords::kw_endgenerate

Definition at line 1506 of file FormatToken.h.

◆ kw_endgroup

IdentifierInfo* clang::format::AdditionalKeywords::kw_endgroup

Definition at line 1507 of file FormatToken.h.

◆ kw_endinterface

IdentifierInfo* clang::format::AdditionalKeywords::kw_endinterface

Definition at line 1508 of file FormatToken.h.

◆ kw_endmodule

IdentifierInfo* clang::format::AdditionalKeywords::kw_endmodule

Definition at line 1509 of file FormatToken.h.

◆ kw_endpackage

IdentifierInfo* clang::format::AdditionalKeywords::kw_endpackage

Definition at line 1510 of file FormatToken.h.

◆ kw_endprimitive

IdentifierInfo* clang::format::AdditionalKeywords::kw_endprimitive

Definition at line 1511 of file FormatToken.h.

◆ kw_endprogram

IdentifierInfo* clang::format::AdditionalKeywords::kw_endprogram

Definition at line 1512 of file FormatToken.h.

◆ kw_endproperty

IdentifierInfo* clang::format::AdditionalKeywords::kw_endproperty

Definition at line 1513 of file FormatToken.h.

◆ kw_endsequence

IdentifierInfo* clang::format::AdditionalKeywords::kw_endsequence

Definition at line 1514 of file FormatToken.h.

◆ kw_endspecify

IdentifierInfo* clang::format::AdditionalKeywords::kw_endspecify

Definition at line 1515 of file FormatToken.h.

◆ kw_endtable

IdentifierInfo* clang::format::AdditionalKeywords::kw_endtable

Definition at line 1516 of file FormatToken.h.

◆ kw_endtask

IdentifierInfo* clang::format::AdditionalKeywords::kw_endtask

Definition at line 1517 of file FormatToken.h.

◆ kw_event

IdentifierInfo* clang::format::AdditionalKeywords::kw_event

Definition at line 1438 of file FormatToken.h.

◆ kw_extend

IdentifierInfo* clang::format::AdditionalKeywords::kw_extend

Definition at line 1415 of file FormatToken.h.

◆ kw_extends

IdentifierInfo* clang::format::AdditionalKeywords::kw_extends

Definition at line 1401 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_final

IdentifierInfo* clang::format::AdditionalKeywords::kw_final

Definition at line 1362 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_finally

IdentifierInfo* clang::format::AdditionalKeywords::kw_finally

Definition at line 1382 of file FormatToken.h.

Referenced by clang::format::isJSDeclOrStmt(), and clang::format::mustBeJSIdent().

◆ kw_fixed

IdentifierInfo* clang::format::AdditionalKeywords::kw_fixed

Definition at line 1439 of file FormatToken.h.

◆ kw_foreach

IdentifierInfo* clang::format::AdditionalKeywords::kw_foreach

Definition at line 1440 of file FormatToken.h.

◆ kw_forever

IdentifierInfo* clang::format::AdditionalKeywords::kw_forever

Definition at line 1518 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_fork

IdentifierInfo* clang::format::AdditionalKeywords::kw_fork

Definition at line 1519 of file FormatToken.h.

◆ kw_from

IdentifierInfo* clang::format::AdditionalKeywords::kw_from

Definition at line 1383 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_function

IdentifierInfo* clang::format::AdditionalKeywords::kw_function

◆ kw_generate

IdentifierInfo* clang::format::AdditionalKeywords::kw_generate

Definition at line 1520 of file FormatToken.h.

◆ kw_get

IdentifierInfo* clang::format::AdditionalKeywords::kw_get

Definition at line 1385 of file FormatToken.h.

◆ kw_highz0

IdentifierInfo* clang::format::AdditionalKeywords::kw_highz0

Definition at line 1521 of file FormatToken.h.

◆ kw_highz1

IdentifierInfo* clang::format::AdditionalKeywords::kw_highz1

Definition at line 1522 of file FormatToken.h.

◆ kw_iff

IdentifierInfo* clang::format::AdditionalKeywords::kw_iff

Definition at line 1523 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_ifnone

IdentifierInfo* clang::format::AdditionalKeywords::kw_ifnone

Definition at line 1524 of file FormatToken.h.

◆ kw_ignore_bins

IdentifierInfo* clang::format::AdditionalKeywords::kw_ignore_bins

Definition at line 1525 of file FormatToken.h.

◆ kw_illegal_bins

IdentifierInfo* clang::format::AdditionalKeywords::kw_illegal_bins

Definition at line 1526 of file FormatToken.h.

◆ kw_implements

IdentifierInfo* clang::format::AdditionalKeywords::kw_implements

Definition at line 1402 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_implicit

IdentifierInfo* clang::format::AdditionalKeywords::kw_implicit

Definition at line 1441 of file FormatToken.h.

◆ kw_import

IdentifierInfo* clang::format::AdditionalKeywords::kw_import

Definition at line 1386 of file FormatToken.h.

Referenced by clang::format::isJSDeclOrStmt(), and clang::format::mustBeJSIdent().

◆ kw_in

IdentifierInfo* clang::format::AdditionalKeywords::kw_in

Definition at line 1364 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_include

IdentifierInfo* clang::format::AdditionalKeywords::kw_include

Definition at line 1614 of file FormatToken.h.

◆ kw_infer

IdentifierInfo* clang::format::AdditionalKeywords::kw_infer

Definition at line 1387 of file FormatToken.h.

◆ kw_init

IdentifierInfo* clang::format::AdditionalKeywords::kw_init

Definition at line 1442 of file FormatToken.h.

◆ kw_initial

IdentifierInfo* clang::format::AdditionalKeywords::kw_initial

Definition at line 1527 of file FormatToken.h.

Referenced by isVerilogQualifier().

◆ kw_inout

IdentifierInfo* clang::format::AdditionalKeywords::kw_inout

Definition at line 1528 of file FormatToken.h.

◆ kw_input

IdentifierInfo* clang::format::AdditionalKeywords::kw_input

Definition at line 1529 of file FormatToken.h.

◆ kw_inside

IdentifierInfo* clang::format::AdditionalKeywords::kw_inside

Definition at line 1530 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_instanceof

IdentifierInfo* clang::format::AdditionalKeywords::kw_instanceof

Definition at line 1403 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_interconnect

IdentifierInfo* clang::format::AdditionalKeywords::kw_interconnect

Definition at line 1531 of file FormatToken.h.

◆ kw_interface

IdentifierInfo* clang::format::AdditionalKeywords::kw_interface

Definition at line 1404 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_internal

IdentifierInfo* clang::format::AdditionalKeywords::kw_internal

Definition at line 1443 of file FormatToken.h.

◆ kw_internal_ident_after_define

IdentifierInfo* clang::format::AdditionalKeywords::kw_internal_ident_after_define

Definition at line 1429 of file FormatToken.h.

◆ kw_intersect

IdentifierInfo* clang::format::AdditionalKeywords::kw_intersect

Definition at line 1532 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_is

IdentifierInfo* clang::format::AdditionalKeywords::kw_is

Definition at line 1388 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_join

IdentifierInfo* clang::format::AdditionalKeywords::kw_join

Definition at line 1533 of file FormatToken.h.

◆ kw_join_any

IdentifierInfo* clang::format::AdditionalKeywords::kw_join_any

Definition at line 1534 of file FormatToken.h.

◆ kw_join_none

IdentifierInfo* clang::format::AdditionalKeywords::kw_join_none

Definition at line 1535 of file FormatToken.h.

◆ kw_large

IdentifierInfo* clang::format::AdditionalKeywords::kw_large

Definition at line 1536 of file FormatToken.h.

◆ kw_let

IdentifierInfo* clang::format::AdditionalKeywords::kw_let

◆ kw_list

IdentifierInfo* clang::format::AdditionalKeywords::kw_list

Definition at line 1615 of file FormatToken.h.

◆ kw_local

IdentifierInfo* clang::format::AdditionalKeywords::kw_local

Definition at line 1537 of file FormatToken.h.

◆ kw_localparam

IdentifierInfo* clang::format::AdditionalKeywords::kw_localparam

Definition at line 1538 of file FormatToken.h.

◆ kw_lock

IdentifierInfo* clang::format::AdditionalKeywords::kw_lock

Definition at line 1445 of file FormatToken.h.

◆ kw_macromodule

IdentifierInfo* clang::format::AdditionalKeywords::kw_macromodule

Definition at line 1539 of file FormatToken.h.

◆ kw_mark

IdentifierInfo* clang::format::AdditionalKeywords::kw_mark

Definition at line 1411 of file FormatToken.h.

◆ kw_matches

IdentifierInfo* clang::format::AdditionalKeywords::kw_matches

Definition at line 1540 of file FormatToken.h.

◆ kw_medium

IdentifierInfo* clang::format::AdditionalKeywords::kw_medium

Definition at line 1541 of file FormatToken.h.

◆ kw_module

IdentifierInfo* clang::format::AdditionalKeywords::kw_module

Definition at line 1390 of file FormatToken.h.

◆ kw_multiclass

IdentifierInfo* clang::format::AdditionalKeywords::kw_multiclass

Definition at line 1616 of file FormatToken.h.

Referenced by isTableGenKeyword().

◆ kw_native

IdentifierInfo* clang::format::AdditionalKeywords::kw_native

Definition at line 1405 of file FormatToken.h.

◆ kw_negedge

IdentifierInfo* clang::format::AdditionalKeywords::kw_negedge

Definition at line 1542 of file FormatToken.h.

◆ kw_nounconnected_drive

IdentifierInfo* clang::format::AdditionalKeywords::kw_nounconnected_drive

Definition at line 1543 of file FormatToken.h.

◆ kw_NS_CLOSED_ENUM

IdentifierInfo* clang::format::AdditionalKeywords::kw_NS_CLOSED_ENUM

Definition at line 1369 of file FormatToken.h.

◆ kw_NS_ENUM

IdentifierInfo* clang::format::AdditionalKeywords::kw_NS_ENUM

Definition at line 1370 of file FormatToken.h.

◆ kw_NS_ERROR_ENUM

IdentifierInfo* clang::format::AdditionalKeywords::kw_NS_ERROR_ENUM

Definition at line 1371 of file FormatToken.h.

◆ kw_NS_OPTIONS

IdentifierInfo* clang::format::AdditionalKeywords::kw_NS_OPTIONS

Definition at line 1372 of file FormatToken.h.

◆ kw_null

IdentifierInfo* clang::format::AdditionalKeywords::kw_null

Definition at line 1446 of file FormatToken.h.

◆ kw_object

IdentifierInfo* clang::format::AdditionalKeywords::kw_object

Definition at line 1447 of file FormatToken.h.

◆ kw_of

IdentifierInfo* clang::format::AdditionalKeywords::kw_of

Definition at line 1365 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_option

IdentifierInfo* clang::format::AdditionalKeywords::kw_option

Definition at line 1416 of file FormatToken.h.

◆ kw_optional

IdentifierInfo* clang::format::AdditionalKeywords::kw_optional

Definition at line 1417 of file FormatToken.h.

◆ kw_out

IdentifierInfo* clang::format::AdditionalKeywords::kw_out

Definition at line 1448 of file FormatToken.h.

◆ kw_output

IdentifierInfo* clang::format::AdditionalKeywords::kw_output

Definition at line 1544 of file FormatToken.h.

◆ kw_override

IdentifierInfo* clang::format::AdditionalKeywords::kw_override

Definition at line 1363 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_package

IdentifierInfo* clang::format::AdditionalKeywords::kw_package

Definition at line 1406 of file FormatToken.h.

◆ kw_packed

IdentifierInfo* clang::format::AdditionalKeywords::kw_packed

Definition at line 1545 of file FormatToken.h.

◆ kw_parameter

IdentifierInfo* clang::format::AdditionalKeywords::kw_parameter

Definition at line 1546 of file FormatToken.h.

◆ kw_params

IdentifierInfo* clang::format::AdditionalKeywords::kw_params

Definition at line 1450 of file FormatToken.h.

◆ kw_posedge

IdentifierInfo* clang::format::AdditionalKeywords::kw_posedge

Definition at line 1547 of file FormatToken.h.

◆ kw_primitive

IdentifierInfo* clang::format::AdditionalKeywords::kw_primitive

Definition at line 1548 of file FormatToken.h.

◆ kw_priority

IdentifierInfo* clang::format::AdditionalKeywords::kw_priority

Definition at line 1549 of file FormatToken.h.

◆ kw_program

IdentifierInfo* clang::format::AdditionalKeywords::kw_program

Definition at line 1550 of file FormatToken.h.

◆ kw_property

IdentifierInfo* clang::format::AdditionalKeywords::kw_property

Definition at line 1551 of file FormatToken.h.

◆ kw_pull0

IdentifierInfo* clang::format::AdditionalKeywords::kw_pull0

Definition at line 1552 of file FormatToken.h.

◆ kw_pull1

IdentifierInfo* clang::format::AdditionalKeywords::kw_pull1

Definition at line 1553 of file FormatToken.h.

◆ kw_pure

IdentifierInfo* clang::format::AdditionalKeywords::kw_pure

Definition at line 1554 of file FormatToken.h.

◆ kw_qsignals

IdentifierInfo* clang::format::AdditionalKeywords::kw_qsignals

Definition at line 1424 of file FormatToken.h.

◆ kw_qslots

IdentifierInfo* clang::format::AdditionalKeywords::kw_qslots

Definition at line 1426 of file FormatToken.h.

◆ kw_rand

IdentifierInfo* clang::format::AdditionalKeywords::kw_rand

Definition at line 1555 of file FormatToken.h.

◆ kw_randc

IdentifierInfo* clang::format::AdditionalKeywords::kw_randc

Definition at line 1556 of file FormatToken.h.

◆ kw_randcase

IdentifierInfo* clang::format::AdditionalKeywords::kw_randcase

Definition at line 1557 of file FormatToken.h.

◆ kw_randsequence

IdentifierInfo* clang::format::AdditionalKeywords::kw_randsequence

Definition at line 1558 of file FormatToken.h.

◆ kw_readonly

IdentifierInfo* clang::format::AdditionalKeywords::kw_readonly

Definition at line 1391 of file FormatToken.h.

◆ kw_ref

IdentifierInfo* clang::format::AdditionalKeywords::kw_ref

Definition at line 1452 of file FormatToken.h.

◆ kw_region

IdentifierInfo* clang::format::AdditionalKeywords::kw_region

Definition at line 1412 of file FormatToken.h.

◆ kw_repeat

IdentifierInfo* clang::format::AdditionalKeywords::kw_repeat

Definition at line 1559 of file FormatToken.h.

◆ kw_repeated

IdentifierInfo* clang::format::AdditionalKeywords::kw_repeated

Definition at line 1418 of file FormatToken.h.

◆ kw_required

IdentifierInfo* clang::format::AdditionalKeywords::kw_required

Definition at line 1419 of file FormatToken.h.

◆ kw_resetall

IdentifierInfo* clang::format::AdditionalKeywords::kw_resetall

Definition at line 1560 of file FormatToken.h.

◆ kw_returns

IdentifierInfo* clang::format::AdditionalKeywords::kw_returns

Definition at line 1420 of file FormatToken.h.

◆ kw_sample

IdentifierInfo* clang::format::AdditionalKeywords::kw_sample

Definition at line 1561 of file FormatToken.h.

◆ kw_sbyte

IdentifierInfo* clang::format::AdditionalKeywords::kw_sbyte

Definition at line 1455 of file FormatToken.h.

◆ kw_scalared

IdentifierInfo* clang::format::AdditionalKeywords::kw_scalared

Definition at line 1562 of file FormatToken.h.

◆ kw_sealed

IdentifierInfo* clang::format::AdditionalKeywords::kw_sealed

Definition at line 1456 of file FormatToken.h.

◆ kw_sequence

IdentifierInfo* clang::format::AdditionalKeywords::kw_sequence

Definition at line 1563 of file FormatToken.h.

◆ kw_set

IdentifierInfo* clang::format::AdditionalKeywords::kw_set

Definition at line 1392 of file FormatToken.h.

◆ kw_signals

IdentifierInfo* clang::format::AdditionalKeywords::kw_signals

Definition at line 1423 of file FormatToken.h.

◆ kw_slots

IdentifierInfo* clang::format::AdditionalKeywords::kw_slots

Definition at line 1425 of file FormatToken.h.

◆ kw_small

IdentifierInfo* clang::format::AdditionalKeywords::kw_small

Definition at line 1564 of file FormatToken.h.

◆ kw_soft

IdentifierInfo* clang::format::AdditionalKeywords::kw_soft

Definition at line 1565 of file FormatToken.h.

◆ kw_solve

IdentifierInfo* clang::format::AdditionalKeywords::kw_solve

Definition at line 1566 of file FormatToken.h.

◆ kw_specify

IdentifierInfo* clang::format::AdditionalKeywords::kw_specify

Definition at line 1567 of file FormatToken.h.

◆ kw_specparam

IdentifierInfo* clang::format::AdditionalKeywords::kw_specparam

Definition at line 1568 of file FormatToken.h.

◆ kw_stackalloc

IdentifierInfo* clang::format::AdditionalKeywords::kw_stackalloc

Definition at line 1454 of file FormatToken.h.

◆ kw_string

IdentifierInfo* clang::format::AdditionalKeywords::kw_string

Definition at line 1453 of file FormatToken.h.

◆ kw_strong0

IdentifierInfo* clang::format::AdditionalKeywords::kw_strong0

Definition at line 1569 of file FormatToken.h.

◆ kw_strong1

IdentifierInfo* clang::format::AdditionalKeywords::kw_strong1

Definition at line 1570 of file FormatToken.h.

◆ kw_supply0

IdentifierInfo* clang::format::AdditionalKeywords::kw_supply0

Definition at line 1571 of file FormatToken.h.

◆ kw_supply1

IdentifierInfo* clang::format::AdditionalKeywords::kw_supply1

Definition at line 1572 of file FormatToken.h.

◆ kw_synchronized

IdentifierInfo* clang::format::AdditionalKeywords::kw_synchronized

Definition at line 1407 of file FormatToken.h.

◆ kw_table

IdentifierInfo* clang::format::AdditionalKeywords::kw_table

Definition at line 1573 of file FormatToken.h.

◆ kw_tagged

IdentifierInfo* clang::format::AdditionalKeywords::kw_tagged

Definition at line 1574 of file FormatToken.h.

◆ kw_task

IdentifierInfo* clang::format::AdditionalKeywords::kw_task

Definition at line 1575 of file FormatToken.h.

◆ kw_then

IdentifierInfo* clang::format::AdditionalKeywords::kw_then

Definition at line 1617 of file FormatToken.h.

◆ kw_throws

IdentifierInfo* clang::format::AdditionalKeywords::kw_throws

Definition at line 1408 of file FormatToken.h.

Referenced by clang::format::mustBeJSIdent().

◆ kw_timescale

IdentifierInfo* clang::format::AdditionalKeywords::kw_timescale

Definition at line 1576 of file FormatToken.h.

◆ kw_tri

IdentifierInfo* clang::format::AdditionalKeywords::kw_tri

Definition at line 1579 of file FormatToken.h.

◆ kw_tri0

IdentifierInfo* clang::format::AdditionalKeywords::kw_tri0

Definition at line 1577 of file FormatToken.h.

◆ kw_tri1

IdentifierInfo* clang::format::AdditionalKeywords::kw_tri1

Definition at line 1578 of file FormatToken.h.

◆ kw_triand

IdentifierInfo* clang::format::AdditionalKeywords::kw_triand

Definition at line 1580 of file FormatToken.h.

◆ kw_trior

IdentifierInfo* clang::format::AdditionalKeywords::kw_trior

Definition at line 1581 of file FormatToken.h.

◆ kw_trireg

IdentifierInfo* clang::format::AdditionalKeywords::kw_trireg

Definition at line 1582 of file FormatToken.h.

◆ kw_type

IdentifierInfo* clang::format::AdditionalKeywords::kw_type

Definition at line 1393 of file FormatToken.h.

◆ kw_typeof

IdentifierInfo* clang::format::AdditionalKeywords::kw_typeof

Definition at line 1394 of file FormatToken.h.

◆ kw_uint

IdentifierInfo* clang::format::AdditionalKeywords::kw_uint

Definition at line 1457 of file FormatToken.h.

◆ kw_ulong

IdentifierInfo* clang::format::AdditionalKeywords::kw_ulong

Definition at line 1458 of file FormatToken.h.

◆ kw_unchecked

IdentifierInfo* clang::format::AdditionalKeywords::kw_unchecked

Definition at line 1459 of file FormatToken.h.

◆ kw_unconnected_drive

IdentifierInfo* clang::format::AdditionalKeywords::kw_unconnected_drive

Definition at line 1583 of file FormatToken.h.

◆ kw_undefineall

IdentifierInfo* clang::format::AdditionalKeywords::kw_undefineall

Definition at line 1584 of file FormatToken.h.

◆ kw_unique

IdentifierInfo* clang::format::AdditionalKeywords::kw_unique

Definition at line 1585 of file FormatToken.h.

◆ kw_unique0

IdentifierInfo* clang::format::AdditionalKeywords::kw_unique0

Definition at line 1586 of file FormatToken.h.

◆ kw_unsafe

IdentifierInfo* clang::format::AdditionalKeywords::kw_unsafe

Definition at line 1460 of file FormatToken.h.

◆ kw_ushort

IdentifierInfo* clang::format::AdditionalKeywords::kw_ushort

Definition at line 1461 of file FormatToken.h.

◆ kw_uwire

IdentifierInfo* clang::format::AdditionalKeywords::kw_uwire

Definition at line 1587 of file FormatToken.h.

◆ kw_var

IdentifierInfo* clang::format::AdditionalKeywords::kw_var

Definition at line 1395 of file FormatToken.h.

Referenced by clang::format::isJSDeclOrStmt(), and clang::format::mustBeJSIdent().

◆ kw_vectored

IdentifierInfo* clang::format::AdditionalKeywords::kw_vectored

Definition at line 1588 of file FormatToken.h.

◆ kw_verilogHash

IdentifierInfo* clang::format::AdditionalKeywords::kw_verilogHash

Definition at line 1598 of file FormatToken.h.

Referenced by isWordLike().

◆ kw_verilogHashHash

IdentifierInfo* clang::format::AdditionalKeywords::kw_verilogHashHash

Definition at line 1599 of file FormatToken.h.

Referenced by isWordLike().

◆ kw_wand

IdentifierInfo* clang::format::AdditionalKeywords::kw_wand

Definition at line 1589 of file FormatToken.h.

◆ kw_weak0

IdentifierInfo* clang::format::AdditionalKeywords::kw_weak0

Definition at line 1590 of file FormatToken.h.

◆ kw_weak1

IdentifierInfo* clang::format::AdditionalKeywords::kw_weak1

Definition at line 1591 of file FormatToken.h.

◆ kw_when

IdentifierInfo* clang::format::AdditionalKeywords::kw_when

Definition at line 1462 of file FormatToken.h.

◆ kw_where

IdentifierInfo* clang::format::AdditionalKeywords::kw_where

Definition at line 1463 of file FormatToken.h.

◆ kw_wildcard

IdentifierInfo* clang::format::AdditionalKeywords::kw_wildcard

Definition at line 1592 of file FormatToken.h.

◆ kw_wire

IdentifierInfo* clang::format::AdditionalKeywords::kw_wire

Definition at line 1593 of file FormatToken.h.

◆ kw_with

IdentifierInfo* clang::format::AdditionalKeywords::kw_with

Definition at line 1594 of file FormatToken.h.

Referenced by isVerilogIdentifier().

◆ kw_wor

IdentifierInfo* clang::format::AdditionalKeywords::kw_wor

Definition at line 1595 of file FormatToken.h.

◆ kw_yield

IdentifierInfo* clang::format::AdditionalKeywords::kw_yield

Definition at line 1396 of file FormatToken.h.

Referenced by clang::format::isJSDeclOrStmt(), and clang::format::mustBeJSIdent().


The documentation for this struct was generated from the following file: