clang 22.0.0git
clang::AdditionalKeywords Member List

This is the complete list of members for clang::AdditionalKeywords, including all inherited members.

AdditionalKeywords(IdentifierTable &IdentTable)clang::AdditionalKeywordsinline
isCSharpKeyword(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isJavaScriptIdentifier(const FormatToken &Tok, bool AcceptIdentifierName=true) constclang::AdditionalKeywordsinline
isTableGenDefinition(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isTableGenKeyword(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogBegin(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogEnd(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogEndOfLabel(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogHierarchy(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogIdentifier(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogKeywordSymbol(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogPPDirective(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogQualifier(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogStructuredProcedure(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isVerilogWordOperator(const FormatToken &Tok) constclang::AdditionalKeywordsinline
isWordLike(const FormatToken &Tok, bool IsVerilog=true) constclang::AdditionalKeywordsinline
kw___exceptclang::AdditionalKeywords
kw___has_includeclang::AdditionalKeywords
kw___has_include_nextclang::AdditionalKeywords
kw_abstractclang::AdditionalKeywords
kw_alwaysclang::AdditionalKeywords
kw_always_combclang::AdditionalKeywords
kw_always_ffclang::AdditionalKeywords
kw_always_latchclang::AdditionalKeywords
kw_apostropheclang::AdditionalKeywords
kw_asclang::AdditionalKeywords
kw_assertclang::AdditionalKeywords
kw_assignclang::AdditionalKeywords
kw_assumeclang::AdditionalKeywords
kw_asyncclang::AdditionalKeywords
kw_automaticclang::AdditionalKeywords
kw_awaitclang::AdditionalKeywords
kw_baseclang::AdditionalKeywords
kw_beforeclang::AdditionalKeywords
kw_beginclang::AdditionalKeywords
kw_begin_keywordsclang::AdditionalKeywords
kw_binsclang::AdditionalKeywords
kw_binsofclang::AdditionalKeywords
kw_bitclang::AdditionalKeywords
kw_bitsclang::AdditionalKeywords
kw_byteclang::AdditionalKeywords
kw_casexclang::AdditionalKeywords
kw_casezclang::AdditionalKeywords
kw_celldefineclang::AdditionalKeywords
kw_CF_CLOSED_ENUMclang::AdditionalKeywords
kw_CF_ENUMclang::AdditionalKeywords
kw_CF_OPTIONSclang::AdditionalKeywords
kw_checkedclang::AdditionalKeywords
kw_checkerclang::AdditionalKeywords
kw_clockingclang::AdditionalKeywords
kw_codeclang::AdditionalKeywords
kw_constraintclang::AdditionalKeywords
kw_coverclang::AdditionalKeywords
kw_covergroupclang::AdditionalKeywords
kw_coverpointclang::AdditionalKeywords
kw_dagclang::AdditionalKeywords
kw_decimalclang::AdditionalKeywords
kw_declareclang::AdditionalKeywords
kw_defclang::AdditionalKeywords
kw_default_decay_timeclang::AdditionalKeywords
kw_default_nettypeclang::AdditionalKeywords
kw_default_trireg_strengthclang::AdditionalKeywords
kw_defmclang::AdditionalKeywords
kw_defsetclang::AdditionalKeywords
kw_defvarclang::AdditionalKeywords
kw_delay_mode_distributedclang::AdditionalKeywords
kw_delay_mode_pathclang::AdditionalKeywords
kw_delay_mode_unitclang::AdditionalKeywords
kw_delay_mode_zeroclang::AdditionalKeywords
kw_delegateclang::AdditionalKeywords
kw_disableclang::AdditionalKeywords
kw_distclang::AdditionalKeywords
kw_dollarclang::AdditionalKeywords
kw_dumpclang::AdditionalKeywords
kw_edgeclang::AdditionalKeywords
kw_elsifclang::AdditionalKeywords
kw_endclang::AdditionalKeywords
kw_end_keywordsclang::AdditionalKeywords
kw_endcaseclang::AdditionalKeywords
kw_endcelldefineclang::AdditionalKeywords
kw_endcheckerclang::AdditionalKeywords
kw_endclassclang::AdditionalKeywords
kw_endclockingclang::AdditionalKeywords
kw_endfunctionclang::AdditionalKeywords
kw_endgenerateclang::AdditionalKeywords
kw_endgroupclang::AdditionalKeywords
kw_endinterfaceclang::AdditionalKeywords
kw_endmoduleclang::AdditionalKeywords
kw_endpackageclang::AdditionalKeywords
kw_endprimitiveclang::AdditionalKeywords
kw_endprogramclang::AdditionalKeywords
kw_endpropertyclang::AdditionalKeywords
kw_endsequenceclang::AdditionalKeywords
kw_endspecifyclang::AdditionalKeywords
kw_endtableclang::AdditionalKeywords
kw_endtaskclang::AdditionalKeywords
kw_eventclang::AdditionalKeywords
kw_extendclang::AdditionalKeywords
kw_extendsclang::AdditionalKeywords
kw_finalclang::AdditionalKeywords
kw_finallyclang::AdditionalKeywords
kw_fixedclang::AdditionalKeywords
kw_foreachclang::AdditionalKeywords
kw_foreverclang::AdditionalKeywords
kw_forkclang::AdditionalKeywords
kw_fromclang::AdditionalKeywords
kw_functionclang::AdditionalKeywords
kw_generateclang::AdditionalKeywords
kw_getclang::AdditionalKeywords
kw_highz0clang::AdditionalKeywords
kw_highz1clang::AdditionalKeywords
kw_iffclang::AdditionalKeywords
kw_ifnoneclang::AdditionalKeywords
kw_ignore_binsclang::AdditionalKeywords
kw_illegal_binsclang::AdditionalKeywords
kw_implementsclang::AdditionalKeywords
kw_implicitclang::AdditionalKeywords
kw_importclang::AdditionalKeywords
kw_inclang::AdditionalKeywords
kw_includeclang::AdditionalKeywords
kw_inferclang::AdditionalKeywords
kw_initclang::AdditionalKeywords
kw_initialclang::AdditionalKeywords
kw_inoutclang::AdditionalKeywords
kw_inputclang::AdditionalKeywords
kw_insideclang::AdditionalKeywords
kw_instanceofclang::AdditionalKeywords
kw_interconnectclang::AdditionalKeywords
kw_interfaceclang::AdditionalKeywords
kw_internalclang::AdditionalKeywords
kw_internal_ident_after_defineclang::AdditionalKeywords
kw_intersectclang::AdditionalKeywords
kw_isclang::AdditionalKeywords
kw_joinclang::AdditionalKeywords
kw_join_anyclang::AdditionalKeywords
kw_join_noneclang::AdditionalKeywords
kw_largeclang::AdditionalKeywords
kw_letclang::AdditionalKeywords
kw_listclang::AdditionalKeywords
kw_localclang::AdditionalKeywords
kw_localparamclang::AdditionalKeywords
kw_lockclang::AdditionalKeywords
kw_macromoduleclang::AdditionalKeywords
kw_markclang::AdditionalKeywords
kw_matchesclang::AdditionalKeywords
kw_mediumclang::AdditionalKeywords
kw_moduleclang::AdditionalKeywords
kw_multiclassclang::AdditionalKeywords
kw_nativeclang::AdditionalKeywords
kw_negedgeclang::AdditionalKeywords
kw_nounconnected_driveclang::AdditionalKeywords
kw_NS_CLOSED_ENUMclang::AdditionalKeywords
kw_NS_ENUMclang::AdditionalKeywords
kw_NS_ERROR_ENUMclang::AdditionalKeywords
kw_NS_OPTIONSclang::AdditionalKeywords
kw_nullclang::AdditionalKeywords
kw_objectclang::AdditionalKeywords
kw_ofclang::AdditionalKeywords
kw_optionclang::AdditionalKeywords
kw_optionalclang::AdditionalKeywords
kw_outclang::AdditionalKeywords
kw_outputclang::AdditionalKeywords
kw_overrideclang::AdditionalKeywords
kw_packageclang::AdditionalKeywords
kw_packedclang::AdditionalKeywords
kw_parameterclang::AdditionalKeywords
kw_paramsclang::AdditionalKeywords
kw_posedgeclang::AdditionalKeywords
kw_primitiveclang::AdditionalKeywords
kw_priorityclang::AdditionalKeywords
kw_programclang::AdditionalKeywords
kw_propertyclang::AdditionalKeywords
kw_pull0clang::AdditionalKeywords
kw_pull1clang::AdditionalKeywords
kw_pureclang::AdditionalKeywords
kw_qsignalsclang::AdditionalKeywords
kw_qslotsclang::AdditionalKeywords
kw_randclang::AdditionalKeywords
kw_randcclang::AdditionalKeywords
kw_randcaseclang::AdditionalKeywords
kw_randsequenceclang::AdditionalKeywords
kw_readonlyclang::AdditionalKeywords
kw_recordclang::AdditionalKeywords
kw_refclang::AdditionalKeywords
kw_regionclang::AdditionalKeywords
kw_repeatclang::AdditionalKeywords
kw_repeatedclang::AdditionalKeywords
kw_requiredclang::AdditionalKeywords
kw_resetallclang::AdditionalKeywords
kw_returnsclang::AdditionalKeywords
kw_sampleclang::AdditionalKeywords
kw_sbyteclang::AdditionalKeywords
kw_scalaredclang::AdditionalKeywords
kw_sealedclang::AdditionalKeywords
kw_sequenceclang::AdditionalKeywords
kw_setclang::AdditionalKeywords
kw_signalsclang::AdditionalKeywords
kw_slotsclang::AdditionalKeywords
kw_smallclang::AdditionalKeywords
kw_softclang::AdditionalKeywords
kw_solveclang::AdditionalKeywords
kw_specifyclang::AdditionalKeywords
kw_specparamclang::AdditionalKeywords
kw_stackallocclang::AdditionalKeywords
kw_stringclang::AdditionalKeywords
kw_strong0clang::AdditionalKeywords
kw_strong1clang::AdditionalKeywords
kw_supply0clang::AdditionalKeywords
kw_supply1clang::AdditionalKeywords
kw_synchronizedclang::AdditionalKeywords
kw_tableclang::AdditionalKeywords
kw_taggedclang::AdditionalKeywords
kw_taskclang::AdditionalKeywords
kw_thenclang::AdditionalKeywords
kw_throwsclang::AdditionalKeywords
kw_timescaleclang::AdditionalKeywords
kw_triclang::AdditionalKeywords
kw_tri0clang::AdditionalKeywords
kw_tri1clang::AdditionalKeywords
kw_triandclang::AdditionalKeywords
kw_triorclang::AdditionalKeywords
kw_triregclang::AdditionalKeywords
kw_typeclang::AdditionalKeywords
kw_typeofclang::AdditionalKeywords
kw_uintclang::AdditionalKeywords
kw_ulongclang::AdditionalKeywords
kw_uncheckedclang::AdditionalKeywords
kw_unconnected_driveclang::AdditionalKeywords
kw_undefineallclang::AdditionalKeywords
kw_uniqueclang::AdditionalKeywords
kw_unique0clang::AdditionalKeywords
kw_unsafeclang::AdditionalKeywords
kw_ushortclang::AdditionalKeywords
kw_uwireclang::AdditionalKeywords
kw_varclang::AdditionalKeywords
kw_vectoredclang::AdditionalKeywords
kw_verilogHashclang::AdditionalKeywords
kw_verilogHashHashclang::AdditionalKeywords
kw_waitclang::AdditionalKeywords
kw_wandclang::AdditionalKeywords
kw_weak0clang::AdditionalKeywords
kw_weak1clang::AdditionalKeywords
kw_whenclang::AdditionalKeywords
kw_whereclang::AdditionalKeywords
kw_wildcardclang::AdditionalKeywords
kw_wireclang::AdditionalKeywords
kw_withclang::AdditionalKeywords
kw_worclang::AdditionalKeywords
kw_yieldclang::AdditionalKeywords